Recent questions tagged jk

Description : What is race around condition in JK flip flop and how it can be eliminated?

Last Answer : For the racing around to take place, it is necessary to have the enable input high along with J=K=1. As the enable input remains high for a long time in a JK latch, the problem of ... to zero. Hence the multiple toggling cannot take place. Thus the edge triggering avoids the race around condition.

To see more, click for the full list of questions or popular tags.