What do you meant by sensitivity list and zero modeling?

1 Answer

Answer :

Sensitivity list: Every concurrent statement has a sensitivity list. Statements are executed only when there is an event or signal in the sensitivity list, otherwise they are suspended. Ex. F<=a and b; A and b are in the sensitivity list of f. the statement will execute only if one of these will change. Ex. Proc ess(clk, RST) The process is sensitive to RST and clk signal i.e. an event on any of these signals will cause the process toresume

Zero Modeling: All digital circuit elements have a delay (propagation delay) which is very small in terms of nano sec. This nano sec delta delay will have little impact while writing the VHDL code. But for circuit realization this delay must be incorporated. The physical circuit always has finite delay.The ordering of zero delay events is handled with a fictitious unit called delta time. Delta time represents the executionof a simulation cycle without advancing Simulation time. The simulator models zero-delay events using delta time.Events scheduled at the same time are simulated in specific order during a delta time step.Related logic is then resimulated to propagate the effects for another delta timestep. Delta time steps continue until there is no activity for the same instant of simulated time

Related questions

Description : How do I teach youngsters sensitivity?

Last Answer : answer:I'm not exactly sure how sensitivity applies to saying something is crazy in their current vernacular. My sisters kids do the same and it drives me bonkers. If they text me with this bullshit I tell ... sister's kids are better at texting than my sister is. I just nag the shit out of them.

Description : Define: i) Sensitivity ii) Linearity

Last Answer : i) Sensitivity: It is the ratio of magnitude of output signal to the magnitude of input signal.  ii) Linearity is defined as the ability to reproduce the input characteristics symmetrically and this can be expressed by ... the output, x is the input, m is the slope and c is the intercept.

Description : Define following terms related to measuring instruments: i) Sensitivity ii) Selectivity.

Last Answer : i) Sensitivity: It is the ratio of the change in output signal to the change in input signal of quantity being measured.  ii) Selectivity: It is the term to describe fulfillment of the requirements of measurement by an instrument to be suitable for use in a given situation. 

Description : How thick is a flak jacket?

Last Answer : filmfann I disagree, it was not designed to embarrass the woman, it was designed to make himself look “good”. I would hazard a guess that the person behind the camera was a guy. I doubt it would have happened if it was a woman. Anyone know if I am right in my assumption?

Description : Are you easily offended?

Last Answer : Not really much. I’m pretty mellow. And pretty. Homophobia sends me into attack mode.

Description : Are people too sensitive nowadays?

Last Answer : Video games, these poor kids interact with such mind-numbing material that their brains are so stimulated it’s like the world falls-apart when they are pulled away from them. Thus, sensitivity to the real world, most likely harsh, everyday things.

Description : By emotional maturity is meant a Options: A) Low degree of sensitivity coupled with a high level of intellectual growth B) Extreme patience or sympathy towards problems involving other people C) Lack of ... other people D) Lack of control or inhibition of the emotions appropriate to one's age-group

Last Answer : D) Lack of control or inhibition of the emotions appropriate to one's age-group 

Description : Two AC generators of the same capacity are operating in parallel. One with a zero speed droop setting and the other with a 5% speed droop. If its capacity is not exceeded, the unit whose ... B. maintain the frequency of the system C. have poor sensitivity characteristics D. have poor power response

Last Answer : Answer: B

Description : The sensitivity of a rigid module is (A) Zero (B) Between zero and one (C) 1 (D) Infinity

Last Answer : Answer: Option A

Description : Explain the shift and logical operations.

Last Answer : Each of the operators takes an array of BIT or BOOLEAN as the left operand and an integer value as theright operand and performs the specified operation. The sll operator ( shift left logical) and srl ... BIT type is represented by the values 0 and 1 while the Boolean type by True and False.

Description : Draw design flow of ASIC and explain it.

Last Answer : Specifications: In this step all the functionality and features are defined, such as power consumption, voltage reference, timing restrictions and performing criterion. Chip planning is also performed ... fabrication, the wafer is diced into individual chips. Each Chip is packaged and tested.

Description : Explain basic architecture of Sparton-3 FPGA series.

Last Answer : The Spartan-3E family architecture consists of five fundamental programmable functional elements:  Configurable Logic Blocks (CLBs): Contain flexible Look-Up Tables (LUTs) ... fully digital solutions for distributing, delaying, multiplying, dividing, and phase-shifting clock signals. 

Description : Draw CMOS inverter characteristic and explain it.

Last Answer : Characteristics: The characteristics of CMOS inverter depend on the charging and discharging of the load capacitance CL through the PMOS and NMOS transistors respectively. The finite time taken for this charging ... pass from input to output High-to-low delay (tdf) Low-to-high delay (tdr)

Description : State the function of each step elements of VHDL.

Last Answer : 1. Library: Many design elements such as packages, definitions and entireentity architecture pairs can be placed in a library. 2. Entity: describes input and output 3. Architecture: operational flow of ... design elements like subprograms and procedures can be placed in a package for re-use. 

Description : What is test bench? Write its applications

Last Answer : Test Bench: A test bench is used to verify the functionality of the design. We need to stimulate our designs in order to test their functionality. Stimulus in a real system is from an ... the entity under test and to collect output responses. 6. To compare output responses with expected values.

Description : Explain event scheduling with suitable example.

Last Answer : Event scheduling: The assignment to signal x does not happen instantly. Each of the values assigned to x contain an afterclause. The mechanism for delaying the new value is called scheduling an event. By ... value. Event is nothing but change on target signal which is to be updated. Example: X

Description : Define the following terms : 1) Noise margin 2) Power fanout 3) Skew 4) Meta stability

Last Answer : 1) Noise Margin: It is a measure of noise immunity of a gate or circuit (noise immunity is the ability of a gate or circuit to tolerate any noise present in a signal without performing a wrong operation) ... OR  A metastable state is half way between logic 0 and logic 1 .It is undefined state.

Description : Compare signals and variables in VHDL

Last Answer : Sr. No Signals Variables 1 Signal objects are used to connect entities together to form model Variables are used for local storage in process statements and subprograms. 2 ... only. 5 Signals need more information so more memory Variables take less memory

Description : Describe Twin-tube process with diagram.

Last Answer : Twin Tub Process:  A logical extension of the p-well and n-well approaches is the twin-tub fabrication process.  In this process, a substrate of high resistivity of n-type material ... manufacturing tolerances results.  This is particularly important as far as latch up is concerned.

Description : Write the syntax of entity and architecture used in VHDL and explain it.

Last Answer : Entity declaration: It defines the names. Input output signals and modes of a hardware module. Also it provides the external interface of an entity. It is a black box view. Syntax ... _name of entity_ name  Architecture_ declaration_ name;  begin  Statement;  end architecture_ name;

Description : Compare Mealy M/C with Moore M/C.

Last Answer : MOORE MACHINE MELAY MACHINE 1 Output is function of state of machine Output is function of state of machine and present input condition.  2 Requires more number of states Requires ... Output is at the time of state transition 6 Block diagram: Block diagram:

Description : What do you mean by simulation? Why it is necessary?

Last Answer : Simulation is functional emulation of a circuit design through software programs that use models to replicate how a device will perform in terms of timing and results. It should be ... Simulation is used for design verification: Validate assumptions, Verify logic, Verify performance (timing)

Description : Design Y=AB.CD using CMOS logic.

Last Answer : Y=AB.CD using CMOS logic

Description : Explain fabrication using N-well process.

Last Answer : N-Well process: The N-well CMOS circuits are getting more popular because of the lower substrate bias effect on transistor threshold voltage and lower parasitic capacitances associated with source ... overall passivation layer is formed and the openings for accessing bonding pads are defined. 

Description : State any one process for wafer fabrication with diagram

Last Answer : Wafer Processing: The basis raw material used is a disk of silicon, which is between 75 mm to 150 mm in diameter and is less than 1mm thick used in semi-conductor plants. Wafers are cut ... Following slicing at least one face of the wafer is polished to flat scratch free mirror finish surface.

Description : Compare FPGA and CPLD.

Last Answer : Sr no: FPGA CPLD 1 It is field programmable gate array. It is complex programmable logic device. 2 Capacity is defined in terms of number of gates available. ... 8 FPGA are available in wide density range. CPLD contain fewer registers but have better performance.

Description : State any 4 features of VHDL.

Last Answer : 1. It is a concurrent language that is it can execute statements at same time in parallel as in hardware.  2. It is a sequential language that is it can execute sequential statements one at a ... for asynchronous resets.  18. Logical statement (like case and if/then) endings are clearly marked.

Description : Explain estimation of channel capacitance of CMOS.

Last Answer : Capacitance estimation: The dynamic response i.e. switching speed of MOS system depends on capacitance associated with the MOS devices which are formed by different layers in MOS transistors ... are due to three dimensional, distributed charge voltage relations within the device structure. 

Description : Compare Asynchronous sequential and synchronous sequential circuits.

Last Answer : SR. NO. ASYNCHRONOUS SEQUENTIAL CIRCUIT SYNCHRONOUS SEQUENTIAL CIRCUITS. 1 Output can be changed at any instant of time by changing the input Output changes at discrete ... the counter change state simultaneously. This is because all the flip-flops are clocked simultaneously.

Description : Which one of these is better course for 3rd Year B.Com Student: 1. Diploma in Finance & Accounts with GST or 2. Financial Modeling?

Last Answer : I am going to assume you are working towards a Bachelor degree in Communication. What else does B.Com mean? If so, you are not communicating well with all these abbrevaitions and jargon. ... . Until you understand a balance sheet and an income statement, the rest is just woindow dressing.

Description : What is wrong with the modeling industry? (Victoria's Secret mainly)

Last Answer : answer:Please expound what you find wrong with it. While it may have its faults,I don;t think it is wrong. It exists because people in the fashion industry want to see how clothes will look on a human being, even if that is a stylized and unnaturally thin and tall outlier of a human.

Description : Do you remember the smelly green modeling clay that was a fixture of my youth, and is it still available?

Last Answer : I had to make a model of the human brain with that stuff. Went straight into the garbage after it was marked.

Description : Is there any modeling software to model generic real-world devices?

Last Answer : Funny, I just got finished recommending VenSim on your other question.

Description : Should I pursue a side job of modeling or is it a silly idea?

Last Answer : Sure – why not if you want to do it?

Description : How do I find a legit Web Cam modeling opportunity?

Last Answer : Do it yourself. You can advertise on webpages that are closed to the general public or make your own website. You have them pay you through paypal. You can set up your own rules and payment.

Description : My little sister wants to begin modeling, where do we start?

Last Answer : She could start out with Cameo Models, like a friend of mine did.

Description : What is the most simplest, easy-to-use car modeling program?

Last Answer : The Vulcan Science Directorate has determined that you should try Cinema 4d. It is really easy to use. Here is a tutorial for modelling a car.

Description : How long does it take for a modeling company to contact you?

Last Answer : Give it a week. Check up on them after that.

Description : When you dream up a new re-modeling project, do you mean you want to start it some day, not RIGHT THAT MINUTE!

Last Answer : I think it’s a game to get you to stop bothering them about getting them to remodel things a pretty funny tactic if I might add

Description : Should I volunteer for the hair modeling show?

Last Answer : do it! it sounds like great fun.

Description : Does anyone know of open-source software for modeling physics?

Last Answer : Yes. Theres quite a lot, actually. Open Source Physics is a pretty good one. You can download it from here: http://www.opensourcephysics.org/modeling/index.html Wickipedia has a list of them at the bottom of the article here: http://en.wikipedia.org/wiki/Physics_engine

Description : What ID should I give a modeling agency on behalf on my child?

Last Answer : Even legitimate talent agencies might still require you to pay for photos, they just wont do it themselves or will not be to controlling about who you use. They may have suggestions, but if you get a ... A majority of any money earned goes into their account and they can get it when they turn 18.

Description : Art Modeling, a two-part question: How to ask a potential model, How would a model prefer to be asked? (This is outside of a classroom setting)

Last Answer : Ah. I've had this trouble, too I tend to post somewhere asking for models (this is easier if you're a student because you have a University Center or can flyer the dorms etc.) or I ask my ... allowed to photograph them and use the image in whatever way you want. You might get yelled at though. :)

Description : Can you recommend a 3D modeling program?

Last Answer : Google has a free version of Sketchup. It should be able to do what you need.

Description : Which of the following is the last step of the rapid prototyping process? a.3D Modeling b.Data Conversion c.Building d.Postprocessing

Last Answer : d.Postprocessing

Description : Which of the following is the firststep of the rapid prototyping process? a.3D Modeling b.Data Conversion c.Building d.Postprocessing

Last Answer : a.3D Modeling

Description : Which one of the following RP technologies uses solid sheet stock as the starting material? a.Droplet deposition manufacturing b.Fused-deposition modeling c.Laminated-Object Manufacturing d.Selective Laser Sintering

Last Answer : c.Laminated-Object Manufacturing

Description : Which of the following RP technologies uses molten material as the starting material? a.Three-Dimensional Printing b.Fused-Deposition Modeling c.Stereolithography d.Selective Laser Sintering

Last Answer : c.Stereolithography

Description : Which of the following RP technologies uses powders as the starting material? a.Droplet Deposition Manufacturing b.Fused-Deposition Modeling c.Selective Laser Sintering d.Stereolithography

Last Answer : c.Selective Laser Sintering

Description : In the context of rapid prototyping and additive manufacturing, tessellation refers to theprocess of slicing the CAD model of the part into layers. a.Droplet Deposition Manufacturing b.Used-Deposition Modeling c.Laminated-Object Manufacturing d.Stereolithography

Last Answer : d.Stereolithography